阅读更多
在经过了数月准备之后,大众点评正式宣布开放平台(developer.dianping.com)。这家被称为“慢公司”的互联网公司终于走出了开放的第一步,开始向第三方开放本地商户信息、最新点评、优惠、团购等内容。

不过,开放的效果怎么样?是否能让开发者满意?是真开发还是假开放?一位开发者在详细了解开放细则之后向新浪科技深度吐槽,分享他眼中的大众点评开放平台。以下为开发者口述,新浪创事记整理。


这次大众点评是一个很初级的开放,只开放了四个API,分别是“搜索商户”、“搜索团购”、“获取指定的团购信息”和最核心的“获取指定商户最新点评”。

1、团购没有商业模式回馈开发者

四个API有两个都和团购有关,看起来好像力度很大,但其实无任何实质意义,因为没有商业模式回馈开发者。是按照点击付费还是按照成交付费?比例是什么?假如我开发一个团购导航应用,难道白白给点评团带流量?

2、搜索商户API有优势,但不大

搜索商户API可以按照地理位置、商区、分类、关键词等条件获取商户信息列表,大众点评虽然在商户信息上有些优势,但并不明显,高德和街旁等公司都提供类似的信息。

大众点评优势是拥有商家星级、产品、环境、服务等评价信息,但是数据的丰富度上跟专业地图软件商高德相比恐怕还是略逊一筹。

3、商户最新点评API,小家子气

这次开放最核心的莫过于“获取指定商户最新点评API”,这是大众点评耕耘多年积累下的核心优势。为了保护这些数据,大众点评做了非常严格的限制。

首先,这个API被大众点评标记为“高级API”,需要单独向他们申请,但是申请的条件却不明确。从目前公布的合作方来看,高德、印象笔记、盛大、通用汽车、佳明等都是大公司,通常平台方都会对大公司有特殊照顾,至于中小开发者能否获得授权不得而知。

其次,这个API最多只能获取指定商户的最新三条用户点评,而且还是前50字的摘要,如果用户想看全文必须要二次点击到大众点评的官网才能看到,这对用户来说是一个非常不友好的设置,极大的影响用户体验。

第三,大众点评用户协议让开发者不爽。例如,大众点评规定了可能与自身业务产生竞争关系的七项服务,如果开发者涉及,就可能会被终止使用。这七项分别是:用户点评、团购、电子优惠券、商户预定、电子会员卡、大众点评为网络用户提供的其他服务。

什么是“其他服务”?这一个“其他”就有无数种可能,如果某一天大众点评开发了某个“其他服务”跟第三方开发的功能有一点冲突,我们的APP可能随时被停掉。

另外,大众点评还规定“开发者缓存的数据必须在24小时内删除”,而事实上绝大多数开发者为了体验流畅都会缓存常用数据,大众点评也没有技术手段监测开发者是否真的删除,这几乎是一个无意义条款。

4、只能获取数据,不能提交数据,单向操作的开发空间很小

这次露面的几个API都有一个特点,那就是只能从大众点评获取数据,不能提交数据。大众点评方面可能是怕某些人通过API接口刷好评,所以没有开放任何关于“写”的API,所有的操作都只能是单向的,开发者不能向大众点评做任何贡献,这让开发者发挥的空间非常非常小,连一个美食分享APP都做不了。

综上,我想说的是,大众点评这次开放是一个非常非常初级和保守的开放,犹抱琵琶半遮面,欲迎还拒。既想开放,又怕自己的核心数据被第三方拿到。这种一个处在矛盾心理和反复博弈中的开放方案,不知道有多少开发者愿意买单。
  • 大小: 112.3 KB
来自: 新浪科技
2
0
评论 共 2 条 请登录后发表评论
2 楼 transist 2013-01-23 18:38
高德软文?
1 楼 dahai118y 2013-01-23 15:58
太有针对性了吧,从第一点和第二点,就把一个想使用它的人都兴趣抹杀掉了

发表评论

您还没有登录,请您登录后再发表评论

相关推荐

  • 组态王计数器控件

    组态王计数器控件,LEDPro 计数器液晶显示控件

  • 数字电路基础---计数器

    计数器是逻辑设计中非常常用的一个时序电路,计数器是由寄存器和加法器组成的,使用计数器可以实现使用计数器可以对脉冲的个数计数,以实现测量、计数、分频和控制的功能。。。计数是一种最简单基本的运算,计数器就是实现这种运算的逻辑电路。

  • 计数器(Count)

    注意:如果有多个元素的值相同,那么它们之间的顺序是不可确定的,不要在它们的顺序上作任何假设。函数接受一个可迭代对象,然后将这个对象中各种值出现的次数。时,它并不会自动从计数器中删除,如果要删除元素,可以使用。计数器是一个无序容器,用于记录各种值出现的次数。不同的是,当访问计数器中不存在的元素的时候,不会产生。本关任务:编写一个对输入的字符串,进行计数的程序。从上面的例子可以发现,当计数器中一个元素的值减少到。要改变计数器中某一元素的值,除了可以使用操作。开始的,最后输出计数器中的所有元素。

  • 计数器及其应用

    计数器及其应用

  • 为博客添加计数器的方法

    Step1. 首先到Amazingcounters的网站上注册一个计时器,选择你喜欢的计数器风格。 链接:http://www.amazingcounters.com/ Step2. 之后会要求你填写一些基本信息,照做就是。 有几个比较重要的概念: PV: Page View 网站访问量 UV: 独立IP访问量 Step3. ...

  • 在线计数器

    NULL 博文链接:https://zhibin07.iteye.com/blog/1668614

  • 关于CSDN的计数器

    大概两个月前?或者更早一点的时间?发现我的CSDN Blog的计数器不动了没有人光顾,我自己过一段时间查看一次总可以吧还是不动后来从cnzz自己申请了一个流量分析代码插入公告栏回头从流量管理的后台看看......晕,还是有流量的啊并且,CSDN的计数器不是不动,而是不经常动,偶尔也会动一下,可能访问量每增加1000就更新一次吧难道CSDN对这个计数器进行了特殊设计??不明白啊还是用cnzz的计数器

  • 四位十进制计数器verilog_计数器及其应用

    一、实验目的1、学习用集成触发器构成计数器的方法2、掌握中规模集成计数器的使用及功能测试方法3、运用集成计数计构成1/N分频器二、实验原理计数器是一个用以实现计数功能的时序部件,它不仅可用来计脉冲数,还常用作数字系统的定时、分频和执行数字运算以及其它特定的逻辑功能。计数器种类很多。按构成计数器中的各触发器是否使用一个时钟脉冲源来分,有同步计数器和异步计数器。根据计数制的不同,分为二进制计...

  • 计数器

    想到了多周目游戏,一开始在文件最开始,在编译预处理前面加了个int a,后来发现还是不行,每次程序结束,内存释放,就没有了。 到网上搜了一下,暂时没发现什么好的方法,都是在外边存一个文件.dat或者.txt。 我试了一下,挺简单。 计数器: #include<iostream> #include<fstream> #include<time.h> #i...

  • 为你的CSDN博客添加CNZZ流量统计功能

    一、流量统计介绍 流量统计是指通过各种科学的方式,准确的纪录来访某一页面的访问者的流量信息,目前而言,必须具备可以统计。 1、简介 统计独立的访问者数量(独立用户、独立访客); 可以统计独立的IP地址数量; 可以统计页面被刷新的数量。 访客数量,即来了多少访客?他们是哪里人?IP多少? 访客来源,即访客来自哪些网站?百度?天涯?还是163邮箱? 软文营销效果:我贴的链接和软文的效果到底怎么样?

  • content计数器

    注:本博客中大部分例子来自于 张鑫旭的《css世界》, 已征得张老师本人同意 content计数功能非常强大,可以实现用js都不好实现的效果 content计数器有两个属性: counter-reset和counter-increment ,方法(counter()/counters()) 属性counter-reset:就是“计数器-重置”的意思,作用就是给这个计数器起个...

  • 在线blog计数器

    在http://mom.freelogs.com/,用着感觉还行,但是只提供了两种简单的计数功能(按点击数或不同用户).不过晚上又发现了一个免费更好的流量统计网站http://www.50bang.com/.它提供了比较强大的统计功能, 主要功能如下: 来源页面 域名统计

  • 【时序逻辑电路】——计数器

    一、计数器能累计输入脉冲个数的数字电路称为计数器,计数器是数字电路中应用十分广泛的单元逻辑电路,除直接用作技术、分频、定时外,还经常应用于数字仪表、程序控制、计算机等领域。计数器的种类很多,①按计数的进位体制不同,可分为二进制、十进制和N进制计数器等;②按计数器中数值的增、减情况,可分为加法计数器、减法计数器、可逆计数器;③按计数器中各触发器状态转换时刻的不同,可分为同步计数器和异步计数器。1.二进制计数器定义。在计数脉冲作用下,各触发器状态的转换按二进制的编码规律进行计数的数字电路称为二进制计数器。 构

  • 计数器应用

    计数器应用(多线程情况下,无法使用debug调试代码,只能通过计数器的方式来调节) 打log和debug是解决问题的重要手段 Hadoop为每个作业维护若干内置计数器,以描述多项指标。例如,某些计数器记录已处理的字节数和记录数,使用户可监控已处理的输入数据量和已产生的输出数据量。 1)API (1)采用枚举的方式统计计数 enum MyCounter{MALFORORMED,NORMAL} //对枚举定义的自定义计数器加1 context.getCounter(MyCounter.MALFOR

  • 【Verilog基础】7.计数器

    计数器

  • Verilog设计计数器(一)

    理解FPGA设计需要具体的流程框图,模块化设计。从最基础的计数器设计中,我们可以画个简要的模块。 这里的时钟是我们自己定义的,通过控制复位键,我们可以控制计数器模块的运作。 我们需要了解哪些是输入输出端口,这是Verilog设计中的重中之重。 通过模块我们可以知道,clk,rst_n这两个是输入端口,我们定义为input 而输出的Q为输出端口,我们定义为output。 举个例子,我们要设计...

  • 单片机学习——定时器/计数器

    51单片机必学系列——定时器 51单片机学习——中断系统 51单片机学习——存储器详解(程序存储器、片内RAM、拓展RAM、EEPROM) 51单片机学习——定时器/计数器 更新ing 单片机学习——定时器/计数器51单片机必学系列——定时器前言我们怎样定时软件定时硬件定时可编程定时器定时定时器/计数器结构和工作原理 前言 一般51单片机是两个定时器(定时器0和定时器1),本文以STC8H8K64U为例(只是多了三个定时器,定时器0-4),讲解定时器,原理与其他单片机并无区别。注意哈:定时器和计数器是一

  • 如何在个人博客首页中添加访问计数器

    1、去提供免费计数器的网站申请一个计数器,得到计数器的脚本代码。备注:我是在http://www.freeweblogger.com/下申请(计数器只能计数到6位),不过用IE打开的网页显示不完全,还是用FireFox打开的。 如果需要六位数以上的计数器,你可以在statcounter.com里申请。http://wayfarer.cnblogs.com/ 用的就是上述的计数器,我...

Global site tag (gtag.js) - Google Analytics