`
ruguosmen
  • 浏览: 95491 次
  • 性别: Icon_minigender_1
  • 来自: 长春市
最近访客 更多访客>>
社区版块
存档分类
最新评论

2011-4-17

WAP 
阅读更多
1.完善地税(完成)
2.完善供求 信息网(完成)
3.挂表(完成)
4.去花店
5.理发
6.休息(完成)
7.学习WAP
分享到:
评论

相关推荐

    2011-4-17+应用交付之BIG-IP+LTM篇-Beta版

    2011-4-17+应用交付之BIG-IP+LTM篇-Beta版技术文档

    F5-BIG-IP-LTM-zh.pdf

    F5 服务器负载均衡 配置手册, 实现原理,中文

    openssl 的VC工程for openssl-1.0.0e

    2011-09-27 11:17 25,600 dgst.pdb 2011-09-27 11:00 57,430 dh.exe 2011-09-27 11:00 295,624 dh.ilk 2011-09-27 11:00 238,592 dh.pdb 2011-09-27 14:42 61,531 dhparam.exe 2011-09-27 14:42 311,364 dhparam.ilk...

    grub4dos-V0.4.6a-2017-02-04更新

    修正lz4、vhd不显示解压缩进度指示。增加lzma解压缩进度指示。 2016-11-09(不点) 0x8205 bit 5 = 1: 使checkkey闲置循环停止指令。 2016-04-13(yaya) 支持动画菜单 setmenu --graphic-entry=类型=菜单...

    TMPGEnc Plus所有模板(史上最全).rar

    2011-08-13 15:24 4,605 KVCD(PAL)-1.mcf(好像不能用) 2011-08-17 16:55 8,114 KVCD-CQ-352x240-_NTSCFilm_-PLUS.mcf 2011-08-17 16:55 8,113 KVCD-CQ-352x288-_PAL_-PLUS.mcf 2011-08-17 16:55 8,114 KVCD-CQ-352x...

    ISO IEC 7816(1-13, 15)

    2011-03-17. Retrieved 2011-11-12. ISO/IEC 7816-13:2007 Identification cards — Integrated circuit cards — Part 13: Commands for application management in a multi-application environment". Iso.org. ...

    图UML_设计教程集合

    2011-03-24 17:18 32,412 UML类图4.docx 2011-03-24 17:18 177,113 UML类图5.docx 2011-03-24 17:19 153,378 UML类图6.docx 2011-03-24 17:20 189,579 UML类图7.docx 2011-03-24 17:24 54,770 对象图.docx 2011-03-...

    破解工程完整源代码(包括内核驱动)

    2011-10-04 13:09 4,635,835 A.rar 2011-10-04 14:25 <DIR> 反汇编 2011-10-04 15:08 <DIR> 反汇编查询 2011-10-04 15:55 <DIR> 查看内核句柄 2011-10-04 15:53 <DIR> apispy 2011-10-05 07:27 <DIR> directapispy ...

    Eclipse自己更新的ADT 2011-04-17

    4月17号用Eclipse自己更新的ADT,然后与原来的Eclipse compare分离出来的,方便大家使用~

    hibernate各个版本下载地址

    3.6.7.Final 2011-08-17 3.6.6.Final 2011-07-21 3.6.5.Final 2011-06-09 3.6.4.Final 2011-05-05 3.6.3.Final 2011-04-06 3.6.2.Final 2011-03-10 3.6.1.Final 2011-02-03 3.6.0.Final 2010-10-14 3.6.0....

    selenium httpunit测试程序

    2011-10-06 13:42 345,048 apache-mime4j-0.6.jar 2011-10-06 13:42 242,154 bsh-1.3.0.jar 2011-10-06 13:42 324,238 cglib-nodep-2.1_3.jar 2011-10-06 13:42 58,160 commons-codec-1.4.jar 2011-10-06 13:42 575,...

    2011-2022 北大数字普惠金融指数合集(省市县)

    该资料整理了2011-2022北大数字普惠金融指数合集,来源有保障。对研究中做 省级分析的朋友很有帮助。 一、声明 该数据经过审核,是可以直接用于实证分析和论文 写作的,质量过关~资料仅用于学习和交流,请勿用于其他...

    CollabNetSubversion-client-1.6.17-1.x86_64.rpm (注意这是 64 位的)

    (注意这是用于 64 位 linux 操作系统的) CollabNet 官方提供的用于 linux 的 Subversion 客户端。 安装目录是在 /opt/CollabNet_Subversion 下。...最后修改的时间: 2011-06-01 05:29:59 +0800 (三, 2011-06-01)

    金融科技(百度搜索指数)2011-2022

    _1、金科_2、金科_3、金科_4、金科_5、金科_6、金科_7、金科_8、常住 人口、lnpeople 附带参考文献 手工整理了2011-2022年金融科技相关 关键词在各个省份的百度搜索指数,并将其汇总成金融科技指数,以衡量各省小微...

    兰州一中2011-2012年高二数学期中试题及答案精选.doc

    其中,问题1考查了不等式的性质,问题2考查了数列的定义和性质,问题3考查了函数的定义和性质,问题4考查了三角形的性质,问题5考查了等比数列的定义和性质,问题6考查了函数的最小值,问题7考查了不等式的解集,...

    RemotelyAnywhere 11.4.3015大客户版(32位破解)

    LICENSEID 376D-9BE4-5FFE-874D LICENSETYPE COUNTED UPG.INS.UNTIL 2258-03-11 LICENSECOUNT 99999999 LICENSEETYPE CORPORATE LICENSEE Chen YanShao LICENSEE Chen YanShao LICENSEE CYS158 -----END LICENSE----...

    XueTr 0.39 2011-3-6更新

    4.CreateProcess、CreateThread、LoadImage、CmpCallback、BugCheckCallback、Shutdown、Lego等Notify Routine信息查看,并支持对这些Notify Routine的删除 5.端口信息查看,目前不支持2000系统 6.查看消息钩子 7....

    2011年为基期全国各地级市固定资产投资价格指数固定资本存量数据2003-2021

    8,25(10):17-31. [3]孙凤娥,江永宏.我国地区R&D资本存量测算 :1978—2015年[J].统计研究,2018,35(02):99-108.D OI:10.19343/j.cnki.11-1302/c.2018.02.009 . 包含如下页签: 2003-2021固定资产投资 2011基期 资本...

    IEC 60749-21-2011-第 21 部分:可焊性.rar

    ———第 4 部分:强加速稳态湿热试验( HAST ); ———第 5 部分:稳态温湿度偏置寿命试验 ———第 6 部分: 高温贮存 ———第 7 部分:内部水汽含量测试和其它残余气体分析 ———第 8 部分:密封 ———第 9 ...

    weblogic 漏洞统计 CVE

    4.CVE-2016-3416 5.CVE-2016-0688 6.CVE-2016-0638 7.CVE-2015-2623 8.CVE-2015-4744 9.CVE-2014-2480 10.CVE-2014-2481 11.CVE-2014-4256 12.CVE-2014-4242 13.CVE-2014-4253 14.CVE-2014-4267 15.CVE-2014-...

Global site tag (gtag.js) - Google Analytics