`
yanlijun250
  • 浏览: 756806 次
文章分类
社区版块
存档分类
最新评论

PWM

 
阅读更多
1. Pulse Wavelength Modulation -- 脉波调制


2. Pulse Width Modulation -- 脉宽调制 /脉冲宽度调制
脉冲宽度调制(PWM)是利用微处理器的数字输出来对模拟电路进行控制的一种非常有效的技术,广泛应用在从测量、通信到功率控制与变换的许多领域中。脉宽调制是开关型稳压电源中的术语。这是按稳压的控制方式分类的,除了PWM型,还有PFM型和PWM、PFM混合型。脉宽调制式开关型稳压电路是在控制电路输出频率不变的情况下,通过电压反馈调整其占空比,从而达到稳定输出电压的目的。

PWM一种模拟控制方式,根据相应载荷的变化来调制晶体管栅极或基极的偏置,来实现开关稳压电源输出晶 体管或晶体管导通时间的改变,这种方式能使电源的输出电压在工作条件变化时保持恒定。


PWM是一种对模拟信号电平进行数字编码的方法。通过高分辨率计数器的使用,方波的占空比被调制用来对一个具体模拟信号的电平进行编码。PWM信号仍然是数字的,因为在给定的任何时刻,满幅值的直流供电要么完全有(ON),要么完全无(OFF)。电压或电流源是以一种通(ON)或断(OFF)的重复脉冲序列被加到模拟负载上去的。通的时候即是直流供电被加到负载上的时候,断的时候即是供电被断开的时候。只要带宽足够,任何模拟值都可以使用PWM进行编码。

多数负载(无论是电感性负载还是电容性负载)需要的调制频率高于10Hz,通常调制频率为1kHz到200kHz之间。

许多微控制器内部都包含有PWM控制器。例如,Microchip公司的PIC16C67内含两个PWM控制器,每一个都可以选择接通时间和周期。占空比是接通时间与周期之比;调制频率为周期的倒数。执行PWM操作之前,这种微处理器要求在软件中完成以下工作:

* 设置提供调制方波的片上定时器/计数器的周期
* 在PWM控制寄存器中设置接通时间
* 设置PWM输出的方向,这个输出是一个通用I/O管脚
* 启动定时器
* 使能PWM控制器

PWM的一个优点是从处理器到被控系统信号都是数字形式的,无需进行数模转换。让信号保持为数字形式可将噪声影响降到最小。噪声只有在强到足以将逻辑1改变为逻辑0或将逻辑0改变为逻辑1时,也才能对数字信号产生影响。

对噪声抵抗能力的增强是PWM相对于模拟控制的另外一个优点,而且这也是在某些时候将PWM用于通信的主要原因。从模拟信号转向PWM可以极大地延长通信距离。在接收端,通过适当的RC或LC网络可以滤除调制高频方波并将信号还原为模拟形式。

总之,PWM既经济、节约空间、抗噪性能强,是一种值得广大工程师在许多设计应用中使用的有效技术。
分享到:
评论

相关推荐

    C语言26-高级PWM5-PWM6-PWM7-PWM8输出测试程序(STC32G-DEMO-CODE-220311kw)

    C语言26-高级PWM5-PWM6-PWM7-PWM8输出测试程序(STC32G-DEMO-CODE-220311kw)C语言26-高级PWM5-PWM6-PWM7-PWM8输出测试程序(STC32G-DEMO-CODE-220311kw)C语言26-高级PWM5-PWM6-PWM7-PWM8输出测试程序(STC32G-DEMO...

    C语言25-高级PWM1-PWM2-PWM3-PWM4,驱动P6口呼吸灯实验程序(STC32G-DEMO-CODE-220311

    C语言25-高级PWM1-PWM2-PWM3-PWM4,驱动P6口呼吸灯实验程序(STC32G-DEMO-CODE-220311kw)C语言25-高级PWM1-PWM2-PWM3-PWM4,驱动P6口呼吸灯实验程序(STC32G-DEMO-CODE-220311kw)C语言25-高级PWM1-PWM2-PWM3-PWM4...

    双极性pwm原理图分析 双极性pwm应用详解

    本文主要是关于双极性pwm的相关介绍,并着重对双极性pwm的控制方式进行了详尽阐述。 双极性pwm原理 PWM(Pulse Width Modulation)控制就是对脉冲的宽度进行调制的技术。即通过对一系列脉冲的宽度进行调制,来...

    15-PWM调光(51单片机C语言实例Proteus仿真和代码)

    15-PWM调光(51单片机C语言实例Proteus仿真和代码)15-PWM调光(51单片机C语言实例Proteus仿真和代码)15-PWM调光(51单片机C语言实例Proteus仿真和代码)15-PWM调光(51单片机C语言实例Proteus仿真和代码)15-PWM调光(51...

    如何设计个电路,将PWM信号转换为模拟量信号?

    但是,我用示波器(Picoscope 4227)测量传感器的输出信号,显示的却是PWM信号(脉宽调制),即位置不同,输出PWM信号的占空比不同。 PWM信号的参数是:200 Hz, 低电平为0V,高电平为18V。 现在可以确定,我的...

    PWM波发生器及PWM波频率及占空比测量.doc

    利用MSP430内部PWM波发生器产生频率为50Hz~1kHz,占空比为10%~90%的PWM波,频率和占空比通过按键S1和S2分别往复设置(频率按50Hz步进,占空比按5%步进),并利用MSP430单片机的捕获/比较器测量该PWM波的频率和占空比...

    简单PWM流程图

    简单PWM流程图 VISIO 打开 练习编程模版 ;********************************************* ;程序名:PWM调光 ;编写人: ;编写时间: ;硬件支持: ;接口说明: ;修改日志:   NO.1- ;*************************...

    C语言44-高级PWM输出两路互补SPWM(STC32G-DEMO-CODE-220311kw)

    C语言44-高级PWM输出两路互补SPWM(STC32G-DEMO-CODE-220311kw)C语言44-高级PWM输出两路互补SPWM(STC32G-DEMO-CODE-220311kw)C语言44-高级PWM输出两路互补SPWM(STC32G-DEMO-CODE-220311kw)C语言44-高级PWM输出...

    嵌入式定时器输入捕获应用之捕获pwm

    输入捕获的一个特例—PWM 输入。普通的输入捕获可以使用定时器的四个通道,一路捕获占用一个捕获寄存器,而 PWM 输入则只能使用两个通道,即通道 1 和通道 2, 且一路 PWM 输入要占用两个捕获寄存器,一个用于捕获...

    (HAL库学习7)STM32CubeMX HAL库 PWM输出

    这一节是设置STM32单片机定时器PWM输出驱动舵机 pwm输出依靠的是定时器通道,stm32除了定时器6,7,都有输出pwm的通道,高级定时器通道数还要多于普通定时器。定时器的核心特质就是两个参数:频率和占空比,这里先...

    PWM_generator.mdl

    在simulink关于电力电子方面的仿真,比如逆变并网,全控整流中,PWM发生器可以方便的从系统中直接调用,也可以自己搭建,自己搭建的好处是对具体过程了解更加详细。这个事情虽然很简单,但是过程也会出现一些由于...

    基于STM32单片机设计的PWM的呼吸灯控制KEIL工程源代码.zip

    基于STM32单片机设计的PWM的呼吸灯控制KEIL工程源代码,PWM信号是一种脉宽调制信号,广范用于LED和电机控制等场合。PWM信号其实类似于方波,只有0和1两种状态。PWM信号可以调节占空比。不同占空比可以使LED产生不同...

    vivado2019.2平台中通过verilog实现基于FPGA的PWM脉宽调制+操作视频

    1.领域:FPGA,基于FPGA的PWM脉宽调制算法 2.内容:题目,vivado2019.2平台中通过verilog实现基于FPGA的PWM脉宽调制+操作视频 3.用处:用于基于FPGA的PWM脉宽调制算法编程学习 4.指向人群:本硕博等教研学习使用...

    Verilog-hdl产生任意值占空比的PWM.zip

    Verilog-hdl产生任意值占空比的PWM.zipVerilog-hdl产生任意值占空比的PWM.zipVerilog-hdl产生任意值占空比的PWM.zipVerilog-hdl产生任意值占空比的PWM.zipVerilog-hdl产生任意值占空比的PWM.zipVerilog-hdl产生任意...

    教程 24、stm32机械臂 - 调试PWM舵机机械臂

    教程 24、stm32机械臂 - 调试PWM舵机机械臂教程 24、stm32机械臂 - 调试PWM舵机机械臂教程 24、stm32机械臂 - 调试PWM舵机机械臂教程 24、stm32机械臂 - 调试PWM舵机机械臂教程 24、stm32机械臂 - 调试PWM舵机机械臂...

    STM32 的4路互补pwm.zip_STM32 PWM 相位_pwm 相位_stm32 PWM_stm32逆变_四路PWM

    使用keil5编写的STM32输出四路互补的PWM,适配正点原子的mini版,同样是为电赛逆变系统做的准备。通过定时器的输出比较模式,在PC6~PC9,输出4路PWM,每路PWM频率为500Hz,占空比为50%,每一路PWM相位差为45°

    PWM移相_PWM波移相

    6通过定时器3的输出比较模式,在PC6~PC9,输出4路PWM,每路PWM频率为500Hz,占空比为50%,每一路PWM相位差为45°通道1接的是PC6,通道2接的是PC7,可以看到他们的时间差是250us,一个周期是2ms(500Hz),刚好是1/8...

    2PWM整流器及其控制

    《PWM整流器及其控制》以电压型PWM整流器为主,兼顾电流型PWM整流器,对PWM整流器的基本原理、数学建模、特性分析、控制策略和系统设计等进行了系统阐述,同时结合现代控制理论对PWM整流器在若干领域中的具体应用...

    PWM整流器及其控制(张崇巍,张兴著)

    第1章 绪论 1.1 PWM整流器概述 1.2 PWM整流器研究概况第2章 PWM整流器的拓外结构及原理 2.1 基本原理及分类 2.2 电压型PWM整流器(VSR)PWM分析 2.3 电流型PWM整流器(CSR)PWM分析第3章 电压型PWM整流器(VSR) 3.1 ...

Global site tag (gtag.js) - Google Analytics