`
yexin218
  • 浏览: 958137 次
  • 性别: Icon_minigender_1
  • 来自: 珠海
社区版块
存档分类
最新评论

Visual Studio 2008配置SystemC开发环境

阅读更多

一、编译System库

1.下载SystemC library source code
       到http://www.systemc.org 注册会员账号后,即可下载SystemC library soure code

2. 以SystemC 2.2.0为例,下载后的文件名喂systemc-2.2.0.tgz,解压到C盘目录下:C:\systemc-2.2.0

3. 打开C:\systemc-2.2.0\msvc71\SystemC目录下的SystemC.sln

4.VS一般都是Debug模式,所以直接"生成(Build英文)"-->“生成解决方案(Build Solution)”,如果编译成功的话(忽略那些Warning)。在C:\systemc-2.2.0\msvc71\SystemC\debug目录下就生成了SystemC.lib

 

二:更新SystemC include file 和 library

 

1. Select Tools(工具) -> Options(选项) . . . and the Projects(项目和解决方案) -> VC++ Directories tab(Vc++目录)
   
2. Select show directories for: Library files(库文件)

3. Select the 'New' icon and browse to: C:\systemc-2.2.0\msvc71\SystemC\Debug

4. Select show directories for: Include files(包含文件)

5. Select the 'New' icon and browse to: C:\systemc-2.2.0\src

 

步骤三:创建SystemC应用程序

1. Start Visual Studio. From the Start Page select New Project and Win32 Console Project (Windows 控制台应用程序). Type the project name and select a suitable location then click OK.
2. Select the Application Settings page of the Win32 Application Wizard and make sure the 'Empty project' box is ticked(把空项目勾起来). Click 'Finish' to complete the wizard.

3. Add new/existing C++ files to the project and edit code.【一定要添加某个C++文件否则下一步就找不到C/c++的选项了】
4. Display the project Property Pages by selecting 'Properties...' from the Project menu.

5. C/C++ -> General properties Warning level= Level 1(/W1)
6. C/C++ -> Code Generation Runtime Library =Multi-thread Debug (/MTd)
7. C/C++ -> Command Line properties Additional Options = /vmg /D_CRT_SECURE_NO_DEPRECATE
8. Linker -> Input properties Additional Dependiences = systemc.lib
9. Click

结束

 

Reference: http://hi.baidu.com/koolca/blog/item/ac9295828b994092f703a644.html

 

附上一个测试文件: 一个加法器:

adder.h

#ifndef _ADDER_H
#define _ADDER_H

SC_MODULE(Adder){
 public:
	 sc_in<int> data_in_1;
     sc_in<int> data_in_2;
	 sc_out<int> data_out;
SC_CTOR(Adder){
      SC_METHOD(adder_action);
	  sensitive << data_in_1 <<data_in_2;
	 }

	 void adder_action(){
         data_out = data_in_1 + data_in_2;
	 }
};

#endif

 adder.cpp

#include <systemc.h>
#include "adder.h"

SC_MODULE(Stimulator) {
 public:
	sc_out<int> data_out_1, data_out_2;

	SC_CTOR(Stimulator){
		SC_THREAD(send_data);
		dont_initialize();
	};

 private:
	void send_data() {
		int i = 3;
		while(true){
			wait(i, SC_NS);
			cout << "Time: " <<	sc_time_stamp() << "::";
			cout << "Send data: " << 4*i << ", " << 5*i-2 << endl;
			data_out_1 = 4*i;
			data_out_2 = 5*i-2;
			i++;
			if(i >= 14) {
				wait(1,SC_NS);
				sc_stop();
			}
		}
	};
};

SC_MODULE(Monitor) {
public:
	sc_in<int> data_in;

	SC_CTOR(Monitor){
		SC_METHOD(display);
		dont_initialize();
		sensitive << data_in;
	};

private:
	void display(){
		cout << "Time: " <<	sc_time_stamp() << "::";
		cout << "Receive data: " << data_in.read() << endl;
	};	
};

int sc_main(int argc, char* argv[]) {
	Stimulator *stim;
	Monitor *mon;
	Adder *adder;

	stim = new Stimulator("Stimulator");
	mon = new Monitor("Monitor");
	adder = new Adder("Adder");

	sc_signal<int> data_in_1, data_in_2, data_out;

	stim->data_out_1(data_in_1);
	stim->data_out_2(data_in_2);
	mon->data_in(data_out);
	adder->data_in_1(data_in_1);
	adder->data_in_2(data_in_2);
	adder->data_out(data_out);

	sc_start();

	return 0;
}

 你能跑出什么结果呢?

2
1
分享到:
评论

相关推荐

    Visual Studio 2008配置SystemC开发环境.doc

    对Visual Studio 2008配置SystemC开发环境进行配置,合理有效地方式帮助您顺利完成配置工作。

    Systemc在Visual Studio 2008安装方法

    本文档说明的是如何在Visual Studio 2008安装Systemc。同样,该文档也能够给在Visual Studio 2010中安装Systemc提供参考。 本文档作者及修订者信息: 作者:Mihai Fonoage 修订者:Masoud Oveis Gharan

    SystemC开发包2.0.1版本

    针对最新版本的SystemC中不包含for MSVC60的源程序的问题,特上传稍低的2.0.1版本开发包。

    systemc VC2010安装

    SystemC 在 Microsoft Visual Studio 2010的安装说明和使用

    SystemC测试包

    这是OSCI提供的SystemC标准测试包。在安装配置好SystemC开发环境后,可以使用本测试包进行开发环境测试。测试程序共739个,如果能全部通过,就说明你的SystemC开发环境一切OK啦。

    SystemC环境配置vc6

    SystemC在vc6下的环境配置方法。讲的非常详细。

    systemc-2.3.1

    新版systemc-2.3.1源代码,能够在Microsoft Visual Studio 10.0环境下进行编译生成库,推荐使用。

    SystemC片上系统设计的源代码

    大部分代码在Microsoft Visual Studio 6.0上编译通过,另有一些代码无法在个人电脑上使用,可以在工作站的Sun Solaris上编译通过。建议大家带着批评而不是崇拜的眼光来学习这些代码。 SystemC Core Language ...

    Systemc design with systemc

    这本电子书里比较详尽的介绍了systemc。是初学者必备知识。Systemc示例代码中有一个例子,simple-bus,该文档教你如何调试它,了解其执行流。看过书里的介绍后,你就能完全理解这个程序。

    SystemC使用手册

    下面所用的SystemC语言版本是2.0.1版的,使用的开发环境是Visual C++ 6.0。   对下载到的源码包进行解压(图3),然后用vc6.0打开其中的工程文件(图4),然后按下F7,或者单击Build按钮(图5),就可以生成SystemC库...

    systemc configure in vs2010

    该资源描述了SystemC库在visual studio 2010 下的配置过程。

    systemc-2.3.0库

    这个是systemc开发要使用到的库文件,具体导入方法可以百度。

    SystemC IEEE公开标准LRM

    systemc IEEE标准,systemc入门

    systemc 2.3 源码 systemc-2.3.0.tar

    systemc 2.3 官方源码systemc-2.3.0.tar

    SystemC User’s Guide(SystemC使用手册)

    您可以使用SystemC和标准c++开发工具来创建系统级模型,快速模拟以验证和优化设计,探索各种算法,并为硬件和软件开发团队提供系统的可执行规范。可执行规范本质上是一个c++程序,它在执行时表现出与系统相同的行为...

    SystemC学习实例代码

    SystemC学习实例代码 学习 SystemC学习实例代码 学习

    一个简单的systemc编程小例子

    test the behaviour of a simple 2-port OR gate

    SystemC片上系统设计.pdf

    SystemC片上系统设计, SystemC片上系统设计,SystemC片上系统设计

    2019.06VCS SystemC User Guide.pdf

    提供了VCS SystemC联合仿真接口的介绍,使VCS和SystemC建模环境可以一起工作,当模拟在Verilog中描述的系统时,VHDL和SystemC语言。文档为2019最新版。

    SystemC 设计 MIPS CPU 文档

    [摘要] 本实验利用SystemC作为开发工具,Visual Stdio 2005作为开发平台,设计实现了一个5周期流水线的MIPS CPU,使其能够执行一些基本的MIPS指令(加法,位移,条件跳转和绝对跳转),利用 Forwarding技术消除了 采用...

Global site tag (gtag.js) - Google Analytics