`
yuanxiaohong
  • 浏览: 2551 次
  • 性别: Icon_minigender_1
  • 来自: 广州
社区版块
存档分类
最新评论

简易缓存系统设计

阅读更多

以下是本人自己实现的一个简易缓存系统的设计,与大家分享下,如有不足之处请大家指出,一起学习进步:

 

package com.mt;

import java.util.HashMap;
import java.util.Map;
import java.util.concurrent.locks.ReadWriteLock;
import java.util.concurrent.locks.ReentrantReadWriteLock;

/**
* 简易缓存系统的设计
* @author yxh
*
*/
public class CacheData {
//定义个缓存容器用来装数据
private Map<String,Object> cache = new HashMap<String,Object>();
//定义一个读写锁
private ReadWriteLock rwl = new ReentrantReadWriteLock();

//根据传入的key获取数据
public Object getData(String key){
//进来获取数据的时候马上一把读锁
rwl.readLock().lock();
Object data = cache.get(key);
try{
if(data==null){
//如果发现数据为空的话就要进行写操作了,那么首先释放读锁,马上加写锁
rwl.readLock().unlock();
rwl.writeLock().lock();
/**此处再加一个判断的原因是:如果多个线程同时进行读的时候,都为null
* 则都会释放读锁,而去争夺写锁,最终第一个获取到了写锁,那么其他的线程则
* 等待,直到第一个线程写好数据,把写锁释放后,第二个线程获取到了写锁,再想去写
* 此时判断下发现已经有值则就不会再去写值了。
*/
try{
if(data==null){
//如果从缓存中获取的值为空那么则去数据库获取
data ="00000000";
cache.put(key, data);
}
}catch(Exception e){

}finally{
//写完后释放写锁
rwl.writeLock().unlock();
}
//写完后重新把读锁上上
rwl.readLock().lock();

}
}finally{
//释放读锁
rwl.readLock().unlock();
}
return data;
}

}

分享到:
评论

相关推荐

    html+css+js 简易微博系统.zip

    利用html+css+js作为前端语言和php作为后台语言,采用前端与后台分离的设计方式,主要实现新用户注册,用户登录,发微博(包括文字,表情,音乐,视频),评论微博,转发微博,关注用户,私信等功能。 PHP(全称:...

    基于Java的智能客服系统设计与实现

    Java 的一个智能客服系统,使用 Spring 框架,MySQL 数据库、ActiveMq 消息中间件、Redis 缓存、Elasticsearch 全文搜索等服务,一个智能客服系统包含的开发任务有:主要包括前端的页面开发,后台设计,中间客服与...

    《基于Python中PyQt5实现简易浏览器的设计与实现.docx》万字、已降重、毕业论文、本科

    《基于Python中PyQt5实现简易浏览器的设计与实现.docx》万字、已降重、毕业论文、本科,目录如下,希望对你有所帮助: 第一章 前言 1.1 研究背景 1.2 研究目的与意义 1.3 研究内容与方法 1.4 论文结构 第二章 PyQt5...

    单片机课程设计—简易计算器.docx

    结构框图如图1 STC89C52数码管显示 键盘 STC89C52 数码管显示 键盘 图(1) 3.2、硬件电路设计 简易数字计算器系统硬件设计主要包括:键盘电路,显示电路以及其他辅助电路。下面分别进行设计。 3.2.1主控电路设计 ...

    简易网银管理系统搭建过程与结果演示

    本项目搭建了一个简易网银管理系统,所用的语言是Python,实现环境是Jupyter和Pycharm。本项目涉及到后端设计与前端设计(HTML),结合MySQL数据库,实现了用户注册、用户余额查询、充值与转账功能。使用会话保存...

    单片机简易计算器课程设计.doc

    课 程 设 计 题 目 名 称 简易计算器设计 课 程 名 称 单片机原理及应用 学 生 姓 名 班 级 学 号 2018年 6 月20日 目录 一 设计目的 2 二 总体设计及功能介绍 2 三 硬件仿真图 3 四 主程序流程图 4 五 程序源代码 4...

    单片机简易计算器课程设计(2).doc

    单片机简易计算器课程设计 课 程 设 计 题 目 名 称 简易计算器设计 课 程 名 称 单片机原理及应用 学 生 姓 名 班 级 学 号 2018年 6 月20日 目录 一 设计目的 2 二 总体设计及功能介绍 2 三 硬件仿真图 3 四 主...

    ASP简易网络存储系统的设计与实现(源代码+thesis).zip

    ASP.NET MVC是一种成熟的开发框架,它采用模型-视图-控制器的设计模式,将应用程序的逻辑和界面分离,提供了更好的代码组织和可维护性。我们选择ASP.NET MVC框架是因为它具有良好的可扩展性和灵活性,可以轻松地添加...

    C#基于Mysql的简易图书管理系统+sql数据库+项目说明+sln解决方案.zip

    C#基于Mysql的简易图书管理系统+sql数据库+项目说明+sln解决方案.zip C#基于Mysql的简易图书管理系统+sql数据库+项目说明+sln解决方案.zip C#基于Mysql的简易图书管理系统+sql数据库+项目说明+sln解决方案.zip 编程...

    单片机简易计算器课程设计(3).doc

    单片机简易计算器课程设计 课 程 设 计 题 目 名 称 简易计算器设计 课 程 名 称 单片机原理及应用 学 生 姓 名 班 级 学 号 2018年 6 月20日 一 设计目的 本设计是基于51系列单片机来进行的简单数字计算器设计,...

    基于Zig语言开发一个的简易操作系统源码+使用说明.zip

    基于Zig语言开发一个的简易操作系统源码+使用说明.zip 构建本项目 Zig 项目会尽可能使用 `build.zig` 构建脚本构建程序,尽量不使用 `make`。同时会使用到 `llvm-objdump-16` 和 `llvm-objcopy-16`。不使用 `aarch...

    WebIM系统设计方案.pdf

    WebIM系统设计⽅案 系统设计⽅案 ⼀、项⽬介绍 该项⽬需要我们实现⼀个 Web 即时通信系统,即⽹页版的聊天程序(Web IM)。如今市⾯上成熟的Web IM系统已经很多,我 们可以参考微信、QQ、钉钉实现⼀个简易版的实时聊天...

    基于FPGA的简易可存储示波器设计

    摘要: 本文介绍了一种基于FPGA的采样速度60Mbit/s的双通道简易数字示波器设计,能够实现量程和采样频率的自动调整、数据缓存、显示以及与计算机之间的数据传输。关键词:数据采集;数字示波器;FPGA 引言  传统...

    单片机课程设计报告—简易计算器1.doc.doc

    2.1键盘电路设计 2.2显示电路设计 3、系统工作流程及流程图 4、系统功能测试与整体指标 4.1 软件调试步骤 4.2 程序调试步骤 4.3 测试结果 5 总结与思考及致谢 附录一 主程序 简易计算器 设计要求 3*4键盘输入,...

    基于springboot,ant-design-vue-pro的简易后台管理系统+源代码+文档说明

    2、本项目适合计算机相关专业(如计科、人工智能、通信工程、自动化、电子信息等)的在校学生、老师或者企业员工下载学习,也适合小白学习进阶,当然也可作为毕设项目、课程设计、作业、项目初期立项演示等。...

    基于ASP.NET简易博客网站的设计与实现(源代码+thesis).zip

    ASP.NET MVC是一种成熟的开发框架,它采用模型-视图-控制器的设计模式,将应用程序的逻辑和界面分离,提供了更好的代码组织和可维护性。我们选择ASP.NET MVC框架是因为它具有良好的可扩展性和灵活性,可以轻松地添加...

    基于Django的简易博客.zip

    django Django是一个高级的Python Web框架,可以快速开发安全和可维护的网站。由经验丰富的开发者构建,Django负责处理网站开发中麻烦的部分,可以专注于编写应用程序,而无需重新开发。...缓存系统。

    基于Django 的简易博客.zip

    django Django是一个高级的Python Web框架,可以快速开发安全和可维护的网站。由经验丰富的开发者构建,Django负责处理网站开发中麻烦的部分,可以专注于编写应用程序,而无需重新开发。...缓存系统。

    SDRAM控制器简易化设计

    SDRAM存储芯片拥有快速读写的性能,可以应用以回波模拟系统作为数据高速缓存器。SDRAM芯片是由SDRAM控制器控制的, SDRAM控制器有严格的控制时序和工作状态,可以使用有限状态机理论和VerilogHDL语言对FPGA进行模块...

Global site tag (gtag.js) - Google Analytics